Welcome![Sign In][Sign Up]
Location:
Search - ft245 controller with fpga

Search list

[VHDL-FPGA-VerilogFT245BM

Description: 这是一个在MAX II CPLD利用FT245BM 模块实现USB传输的读写程序,用的是Verilog HDL语言-This is a MAX II CPLD module using USB transmit FT245BM reading and writing process, using Verilog HDL language
Platform: | Size: 975872 | Author: 杨林成 | Hits:

CodeBus www.codebus.net